2016-01-01から1年間の記事一覧

調歩送信(途中)

/************************************** * 調歩送信モジュール***************************************/module UART_TOP( clk, rst_n, txd, txc); //---------- // 入出力 //---------- //クロック・リセット input clk; input rst_n; //送信データ outpu…

Eclise + Verilog Editor

※ポイント1 eclipseは旧バージョンを選んでインストールする。 Eclipse Juno(4.2)で動作した。Eclipse Neon(4.6)は認識しなかった。 ※ポイント2 eclipseとJREのアーキテクチャを合わせる(64bitまたは32ビット) ※手順 (1) JREをインストール(x64) Eclip…